CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog fft

搜索资源列表

  1. altera_fft

    0下载:
  2. alter官方fft程序 使用verilog编写 需要的同学可以下载-alter the official fft program uses verilog prepared students in need can be downloaded
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:988678
    • 提供者:廖国杰
  1. dft

    1下载:
  2. verilog语言实在点变换DFT源代码,可以配合软核或者其他CPU进行综合FFT变换,也可以单独使用生成module!-verilog language is point FFT transform source code, can tie in with the soft-core CPU, or other integrated FFT transform, it can be used to generate module!
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-23
    • 文件大小:1399
    • 提供者:刘庆
  1. signalprocess_fft_VHDL

    0下载:
  2. 一篇用VHDL实现快速傅立叶变换的论文,包括原理分析和代码实现,印度圣雄甘地大学M.A.学院提供,同时包含使用手册,做FFT很好的-VHDL with a fast Fourier transform papers, including the principle of analysis and code, India Mahatma Gandhi Institute of the University of MA, at the same time contains the user manu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:391882
    • 提供者:费尔德
  1. butfly4

    0下载:
  2. 基4-FFT蝶形单元实现,按照FPGA内部的乘法器功能编写的-4-FFT butterfly-based unit to achieve, in accordance with the internal FPGA multiplier feature prepared
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:1176
    • 提供者:苏菲
  1. FPGAREAL

    0下载:
  2. 信号处理FPGA实现参考,IEEE transaction 的一篇文章。主要针对信号处理中加窗、FFT、VSLI快速实现中误差地等问题。-FPGA realization of a reference signal processing, IEEE transaction of an article. Mainly for signal processing windowing, FFT, VSLI rapid error problems.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:308886
    • 提供者:卓智海
  1. FPGA_FFT

    0下载:
  2. 基于FPGA的高速FFT处理器的设计与实现-FPGA-based high-speed FFT Processor Design and Implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:74381
    • 提供者:萧球水
  1. Linux_bc

    1下载:
  2. 对vga接口做了详细的介绍,并且有一 ·三段式Verilog的IDE程序,但只有DMA ·电子密码锁,基于fpga实现,密码正 ·IIR、FIR、FFT各模块程序设计例程, ·基于逻辑工具的以太网开发,基于逻 ·自己写的一个测温元件(ds18b20)的 ·光纤通信中的SDH数据帧解析及提取的 ·VHDL Programming by Example(McGr ·这是CAN总线控制器的IP核,源码是由 ·FPGA设计的SDRAM控制器,有仿真代码 ·xili
  3. 所属分类:Linux-Unix program

    • 发布日期:2015-03-19
    • 文件大小:18683907
    • 提供者:liuzhou
  1. verilog_300examples

    0下载:
  2. verilog的300个例子,很全的,我自己都试过-verilog 300 examples, it is full, and I have tried
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:113245
    • 提供者:kenshin
  1. FFT64_GN_DT_1_0

    0下载:
  2. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:140233
    • 提供者:李云龙
  1. kuaisufuliyebianhuan_fft_lunwen

    0下载:
  2. 快速傅里叶变换FFT论文及有关的源程序代码,值得一下,不下实在是浪费了,我找了很久才找到的,有些是一些大牛的毕业论文全文-FFT,VERILOG,some article about FFT and some codes of FFT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4708940
    • 提供者:文仔
  1. FPGA_FFT

    0下载:
  2. 基于IP核的FPGA FFT算法模块的设计与实现 在QUATUSII下实现-IP-based core module FPGA FFT algorithm design and implementation be achieved in QUATUSII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:222073
    • 提供者:linxing
  1. FFT

    0下载:
  2. FFT的FPGA实现,使用verilog实现-FFT FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1207867
    • 提供者:libing
  1. ccmul

    0下载:
  2. FFT旋转因子,旋转因子是蝶形运算的组成部分,是数字信号处理FFT算法的基础部分-FFT twiddle factor, rotation factor is an integral part of the butterfly, digital signal processing is a fundamental part of FFT algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:695
    • 提供者:姚兴波
  1. 64point_FFT

    1下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1249731
    • 提供者:chengyungang
  1. VC

    0下载:
  2. 用Verilog语言实现16点的FFT运算.用Verilog语言实现16点的FFT运算。用Verilog语言实现16点的FFT运算。-Verilog language by 16 points in the FFT computation. Verilog language by 16 points in the FFT computation. Verilog language by 16 points in the FFT computation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:5576
    • 提供者:懂郑华
  1. bfly_r2dit

    0下载:
  2. 这是一个用verilog编写的FFT的蝶形因子程序,它与下面的文件构成整个FFT程序-This is a written with verilog program FFT butterfly factor, file it with the following procedures constitute the whole FFT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:852
    • 提供者:wolly
  1. source-(2)

    0下载:
  2. 32k-point FFT verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-08
    • 文件大小:29696
    • 提供者:Jake
  1. source-(4)

    0下载:
  2. 32k fft using verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:5853
    • 提供者:Jake
  1. synth_fft

    0下载:
  2. fftprocessing can complete 256 pointsFFT.-Hardware Descr iption Language(HDL)is an advanced electronic designmethod.After HDL was put into use,it has draw great attention and gained popularity.The design used Verilog HDL and Schematic for entry tools
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:56161
    • 提供者:zzy
  1. fft1

    0下载:
  2. fft processor code working code in verilog--fft processor code working code in verilog-VVV
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:153766
    • 提供者:hr
« 1 2 3 45 6 7 8 9 10 »
搜珍网 www.dssz.com